Sunday, July 25, 2021

FUNCTION AND PROCEDURE IN VHDL-- VHDL SERIES (1)

 -----------------VHDL CODE FOR FUNCTION AND PROCEDURE -------------------

----------------- AUTHOR ER.ARUN CHAUDHARY  -------------------------------------

----------------- DATE - 7/26/2021----------------------------------------------------------

-----------------VHDL CODE SERIES (1) ---------------------------------------------------


----------------------------------------------------------------------------------

-- Company: AUJUS TECHNOLOGY PVT LTD

-- Engineer: ARUN CHAUDHARY

-- 

-- Create Date: 07/25/2021 11:53:57 PM

-- Design Name: FUNCTION AND PROCEDURE TEST

-- Module Name: procedure_test - Behavioral

-- Project Name: 

-- Target Devices: 

-- Tool Versions: VIVADO 18.3

-- Description: 

-- 

-- Dependencies: 

-- 

-- Revision:

-- Revision 0.01 - File Created

-- Additional Comments:

-- 

----------------FUNCTION AND PROCEDURE IN THE VHDL ------------------------------------------------------------------



library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use ieee.std_logic_unsigned.all;

use IEEE.NUMERIC_STD.ALL;

entity procedure_test is

   generic ( N : integer  :=4) ; 

    Port ( x : in STD_LOGIC_vector ( N-1 downto 0);

           y : in STD_LOGIC_vector( N-1 downto 0);

           sum : out STD_LOGIC_vector( N-1 DOWNTO 0) ;

           diff : out STD_LOGIC_VECTOR( N-1 DOWNTO 0);

            m: OUT std_logic_vector(N*2-1 DOWNTO 0) 

           );

end procedure_test;

architecture Behavioral of procedure_test is

---------------PROCEDURE AND FUNCTION BOTH HAVE THE DEFINTION WHILE WRITING PROTOTYPE 

---------------PROCEDURE CAN TAKE ANY NUMBER OF INPUT AND OUTPUT VARIABLE 

-------------- IN PROCEDURE WE DONT NEED TO DEFINE RETURN TYPE ------

procedure SumAndDiff( signal  a : IN STD_LOGIC_VECTOR( N-1 DOWNTO 0) ; 

                     SIGNAL  b : in std_logic_Vector( N-1 downto 0) ; 

                     signal sum :  out std_logic_vector( N-1 DOWNTO 0) ; 

                     SIGNAL DIFF:  OUT STD_LOGIC_VECTOR (N-1 DOWNTO 0) )IS                   

begin

  sum <= a +b ; 

  diff <= a-b ;  

END  sumAndDiff ; 

---------------------IN FUNCTION WE CAN DEFINE ONLY INPUT VARIABLE 

--------------------IN FUNCTION WE NEED TO DEFINE RETURN TYPE 

-------------------FUNCTION RETURN VALUE ALWAYS 

function multiplication ( signal a : in std_logic_vector(N-1 DOWNTO 0) ; 

                         SIGNAL B: IN STD_LOGIC_VECTOR( N-1 DOWNTO 0)

                         ) return std_Logic_vector is 

                        VARIABLE  MULTI : STD_LOGIC_VECTOR( N*2-1 DOWNTO 0) ;              

BEGIN 

    multi := a * b ; 

   return multi ;       

END multiplication  ;                    


--------------------------------------------------------------------------

begin 

--------------WHILE CALLING THE  PROCEDURE WE NEED TO  CONNECT THE INPUT AND OUTPUT VARIABLE AS IN THE PORT MAPING 

   sumAndDIFF ( a=>x , b=>y , sum=>sum , diff=>diff) ; ------ procedure calling 

-------------WHILE CALLING THE FUNCTION WE NEED TO HAVE A VARIABLE WHICH WILL BE HOLDING RETURN VALUE 

   M<= multiplication (A=>X, B=>Y ) ; 

  

end Behavioral;


ELABORATED DESIGN:




PS PL COMMUNICATION -- CONTROLLING THE LED FROM SWITCHES ALSO WRITING THE DATA TO BLOCK RAM AND READING FROM IT .

 PS PL COMMUNICATION -- CONTROLLING THE LED FROM SWITCHES ALSO WRITING THE DATA TO BLOCK RAM AND READING FROM IT .


1. BLOCK DESIGN:



2. BOARD USED : ZYBO

3. CONSTRAINT FILE :

set_property PACKAGE_PIN G15 [get_ports {sw0[0]}]

set_property PACKAGE_PIN P15 [get_ports {sw1[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {sw0[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {sw1[0]}]


set_property PACKAGE_PIN G15 [get_ports {Op2_0[0]}]

set_property PACKAGE_PIN P15 [get_ports {Op1_0[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {Op2_0[0]}]

set_property IOSTANDARD LVCMOS33 [get_ports {Op1_0[0]}]


4. SDK CODE :

/******************************************************************************
*
* Copyright (C) 2009 - 2014 Xilinx, Inc.  All rights reserved.
*
* Permission is hereby granted, free of charge, to any person obtaining a copy
* of this software and associated documentation files (the "Software"), to deal
* in the Software without restriction, including without limitation the rights
* to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
* copies of the Software, and to permit persons to whom the Software is
* furnished to do so, subject to the following conditions:
*
* The above copyright notice and this permission notice shall be included in
* all copies or substantial portions of the Software.
*
* Use of the Software is limited solely to applications:
* (a) running on a Xilinx device, or
* (b) that interact with a Xilinx device through a bus or interconnect.
*
* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL
* XILINX  BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,
* WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF
* OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
* SOFTWARE.
*
* Except as contained in this notice, the name of the Xilinx shall not be used
* in advertising or otherwise to promote the sale, use or other dealings in
* this Software without prior written authorization from Xilinx.
*
******************************************************************************/

/*
 * helloworld.c: simple test application
 *
 * This application configures UART 16550 to baud rate 9600.
 * PS7 UART (Zynq) is not initialized by this application, since
 * bootrom/bsp configures it to baud rate 115200
 *
 * ------------------------------------------------
 * | UART TYPE   BAUD RATE                        |
 * ------------------------------------------------
 *   uartns550   9600
 *   uartlite    Configurable only in HW design
 *   ps7_uart    115200 (configured by bootrom/bsp)
 */

#include <stdio.h>
#include "platform.h"
#include "xil_printf.h"
#include "xgpio.h"
#include "xparameters.h"
#include"sleep.h"









int main()
{
    init_platform();
    XGpio input , output ,input_mem;
    int status =0 ;
    int  read_data =0 ;
    int write_data=0 ;
    int read_counter_mem=0 ;


    print("Hello World\n\r");
    status = XGpio_Initialize(&input, XPAR_INPUT_SW_DEVICE_ID);
    if ( status != XST_SUCCESS)
    {
    xil_printf( " initilaization of gpio 0 is failed \n");

    }
    xil_printf("initialization of gpio 0 is success \n");

    status = XGpio_Initialize(&output, XPAR_OUTPUT_LED_DEVICE_ID);
       if ( status != XST_SUCCESS)
       {
        xil_printf( " initilaization of gpio 1 is failed \n");

       }
       xil_printf("initialization of gpio 1 is success \n");

       status = XGpio_Initialize(&input_mem, XPAR_MEM_READ_DEVICE_ID);
        if ( status !=XST_SUCCESS)
        {
        xil_printf("mem_gpio initialization failed\n");
        }
        else
        {
        xil_printf("mem_gpio initializatoin  is success\n");

        }







     XGpio_SetDataDirection(&input, 1,0x1); //  ---- input gpio-from switches

     XGpio_SetDataDirection(&output, 1,0x0);//----- output led from switches

     XGpio_SetDataDirection(&input_mem, 1,0x11111111); //------set the direction of the gpio as a input



     while(1)
     {


      read_data= XGpio_DiscreteRead(&input, 1);
      XGpio_DiscreteWrite(&output, 1,write_data);
      //read the counter value continuely

      read_counter_mem= XGpio_DiscreteRead(&input_mem,1);
      // printing the value of the counter  in terminal
      xil_printf("value of the counter =%d\n", read_counter_mem);


      if( read_data==1)
      {

      xil_printf("led on \n");
      write_data =0xf ;
      }
      else
      {
      xil_printf("led off \n");
      write_data = 0x0;

      }
//      sleep(100);
     }

    cleanup_platform();
    return 0;
}


5.DESIGN FILE  LINK:
youtube link :  
https://youtu.be/YJfwOKsl4cM



spyglass lint 1